verilator-examples-3.900-bp155.2.11 >  A dkQI%z 9E_dFN SŶY&ܑyc+qywxJ٩TsmKyK[0;d=4) ҡzM F|ueCg{AQv9t]cf}@ߘb H;N P'mPRz!KBk1W W-{w[yaccede34d9e04c5f3724859c862a6cacef21806bc2c037c9cc2aeb257b5a8a57c8a157b2469758443f9aa61e959ea010368fa38edkQI%z Rx [_XƸdr ~ݠ%~C 7yIq_aqhS/>b:֎ ȅW̆eu#1#"ch;ug=v-+:';|Y@M?]bߎ Lט&}6Vaͩ*y tِM_LZ9ڷJh+ۛ rt|L݅=z#kC&y0wCR[ Vxz~]bcQ1#ܙ NPeA \Nxky$͏N{-#⬢`gXNBJ8,p*37ko7_y͸` 2,]f'3t9xX N<3ܷIvOGCi.XXT?O 3`}4^Z=y' h?:쌚n!ͦ8P;wVHZ]YR jբEI+)^%@rׁ#!C81萖'ҧXAx>p; ? d & =  !X^h         Q  `   < p('809<:rFGG\ H I XY\ ] ^b c )d e f l u v z * < @ F Cverilator-examples3.900bp155.2.11Examples for verilatorVerilator is a compiling Verilog HDL simulator. This package contains examples of using verilator.dkQulamb128PSUSE Linux Enterprise 15 SP5openSUSEArtistic-2.0 or LGPL-3.0https://bugs.opensuse.orgDocumentation/Otherhttps://www.veripool.org/projects/verilator/wiki/Introlinuxnoarchn *AcLAA큤A큤A큤dkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQbdkQb8124d4fe95ac71e11eb6357dc25b2440e32143068de893c47b1b452567e4a39440b97cc1d995fe56c3339314cb2eec4a9ea2dd049d55e4cd44e0fcbfc6cf1277b5a19e150cc39dd2dfe523b89bdbd77e366f2eff028b6a0badbfa7c2087c557fc3a91f29478f491224f5001a2512167aab845f96cc0e32ff6a6d7885a408a21f34d11edca830c8af87adb43f77c5f22b431948c610a7560d278289a55218d086f16d320eb2467f6339551e2807efd034f00abf1bdae021fddf4abd1062670ed0863f093997ec23c1303d5731702b969d36f7f387fc1eb3d7acb872cc41af94bff06919189df5f1f239018b8f0704ee041aa2992c7a9249092fff71b553ec85f3bde79d7f22f2e5f14546f9343e1aeedc0dc1f09e62d10093b289f370d9463a63rootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootverilator-3.900-bp155.2.11.src.rpmverilator-examples    rpmlib(CompressedFileNames)rpmlib(FileDigests)rpmlib(PayloadFilesHavePrefix)rpmlib(PayloadIsXz)verilator3.0.4-14.6.0-14.0-15.2-13.9004.14.3X@XS@jengelh@inai.dealoisio@gmx.comdmitry_r@opensuse.org- Rewrite description to give more insight as to what it does.- Update to version 3.900 (see Changes)- Initial package, version 3.862 - Add verilator-rpmlintrc to avoid warnings about source files for SystemClamb12 1684754805 3.900-bp155.2.11examplestest_cMakefileMakefile_objsim_main.cpptest_scMakefileMakefile_objsc_main.cpptest_vinput.vct.vtop.v/usr/share/doc/packages/verilator//usr/share/doc/packages/verilator/examples//usr/share/doc/packages/verilator/examples/test_c//usr/share/doc/packages/verilator/examples/test_sc//usr/share/doc/packages/verilator/examples/test_v/-fmessage-length=0 -grecord-gcc-switches -O2 -Wall -D_FORTIFY_SOURCE=2 -fstack-protector-strong -funwind-tables -fasynchronous-unwind-tables -fstack-clash-protection -gobs://build.opensuse.org/openSUSE:Backports:SLE-15-SP5/standard/e0aa41255ac1babe1aea524e11a3082b-verilatorcpioxz5x86_64-suse-linuxdirectoryASCII textC source, ASCII textmakefile script, ASCII textH|J?H8`utf-824eb5c0b97036ba192b7b415548dc9703fd8f49907b13be9dcba753e0fff76c0?P7zXZ !t/Aw-] crt:bLL ؝.Rء9pX֝_QK)S fք2;!P?w:0 =2\ѢEɅ/=2$[Qg TFZχl=>K'TOyeXcOG, 3REbbyP>! l OzuqL$y($'b4䶬D Zacbe~\*0 FeO9`A*4_#Hv#w$eDv'.LI-#j`DlUFg5643\DHU*2W )BLFS?kDpqHvM^hKߚ/@ЎToaw0fp6h 煍H&Z8Cf֖)-XLJF]:*p>䒣Фk C}+99[ AZ5ILCK e),z$ÌĐgU(&̟8uP'j%MFJVLz@P #"K~SKf>$UTQx:tGy$qi!|v HPGXV䫋)@[u|rX' -}e,noٺZ)YaRGmm=ɥGߤ ucZh=aa] Ms-7u+cVhE AlD܌5 'ۦ%*dzwn5Hg8NqQU1LW /Zk1 GTqnE侺:.NKg2\޽=_Dx%lWWm2۽DNB2C{v M{ 3:ޏr G&ek/vvd*?b"AwԋC8@᫂i׫i1Pj-rh1bCM2`! MFʖi] ߱QDԏH/+/Yն|APߝTx[YXu*a@Gz(2f|~YAm|* ׋s):Tٻ ^>Nm#ʪX>ulY8=IwE  h$7҉F`|")mp4 Iw|%0 Y=Sϕt/̀UM>5VZZX Ĕȅm )pozьQ (@f8MMeqjr~7LrG#ۂ5[OX`yzXnafW\]|!iN}d,8e6H6" CPyIA'idܢ\D[hE Z}6&9CIvOg8??zDe*Fh*4C¨\9f>}$IڱBr!cQX>D>':ZiX[Yu:LL}qBփCY,}ӌ_|`ql5]O~Gb|@=)]~d> Ւ ͠X G#Ӄ2@BӸ*h63lH"XDz(x~pn(f5=TBH|?3[`nnqzXɋ0:;,Qy. zn~ Qs?d73Kt3 ;9Wϖ#)Κ[]r8#Jk bVs. vYX$O+Df R?bЮUַŴIi cg>9<`Pu24Bֿ34;Y(!u4$/waZj&*I:(lΚvFTK`- c-_5LIދ9zK̆XdLB{+:g=QPN|̷Wmdz>1=5"#W꘏[$V7~ _fgiN9Eʸ0'tX196KƢ.}}!̂bo/ʺ4Vg8so_Qr8br(;rKJ=0K:>2۴tXRZI(̯r#GIbt9scaS>#wMF' ff|1;2ťTI0L\槝' Z_'iH\M/:X^hFj 1֨Pr1ܤoȞ,q|u@)H>) V+~y<{Sb񑝫KG /辅Q3lQ 9GYP.;oUl8ǪzȂ6X| [LLQ{QU ׆;F&xRjNϾAEI_1 G*!F T;Vs@h8 ̻f.JCo]Vm8L]}$}m gi<pJ*YzKB{EbMy82?H%l$̟z2fʮLLH@wA>+-%8TL1Z/~Ố!|C8>zx?iv n7)aRIXxl 1 `x285 ("R!j~ޞzxs^R u|^_&.P9mQݼa _