verilator-examples-3.900-lp152.3.7 >  A ^A/=„OwڵY|ф,O噿-xBGo"6{g?sVBZ5pD㞫rpP{鋔n'-jkXc_26\z^is"`>}! Jn+xt=WvJ܀KE*1`: ܌@mncX&ӱ  LFg}Nd~M;,BEb|wKYfEbA8m=d805abe7b9b7d68ce7aaaa5e6c9feddacd572da54e76e0af2a8b0fd5732cbf71a46036761ce6e58aedf975aaa4b2b0a3ccf29868ĉ^A/=„xZYcjmE&f͆c!,yוRW]6'Vsv+o RW A+Cg=WJݫi=[EN[}59mE9HEBN0,(VAOF".X ( ߽lv(pm3; .׽{Ax>p; |? ld % < JPX         A  P   ( \p(89(:^F3GH H| I XY\ ] ^b hc d qe vf yl {u v z    & hCverilator-examples3.900lp152.3.7Examples for verilatorVerilator is a compiling Verilog HDL simulator. This package contains examples of using verilator.^@sheep858PopenSUSE Leap 15.2openSUSEArtistic-2.0 or LGPL-3.0https://bugs.opensuse.orgDocumentation/Otherhttps://www.veripool.org/projects/verilator/wiki/Introlinuxnoarchn *AcLAA큤A큤A큤^@^@^@^@^@^@^@^@^@^@^@^@^@8124d4fe95ac71e11eb6357dc25b2440e32143068de893c47b1b452567e4a39440b97cc1d995fe56c3339314cb2eec4a9ea2dd049d55e4cd44e0fcbfc6cf1277b5a19e150cc39dd2dfe523b89bdbd77e366f2eff028b6a0badbfa7c2087c557fc3a91f29478f491224f5001a2512167aab845f96cc0e32ff6a6d7885a408a21f34d11edca830c8af87adb43f77c5f22b431948c610a7560d278289a55218d086f16d320eb2467f6339551e2807efd034f00abf1bdae021fddf4abd1062670ed0863f093997ec23c1303d5731702b969d36f7f387fc1eb3d7acb872cc41af94bff06919189df5f1f239018b8f0704ee041aa2992c7a9249092fff71b553ec85f3bde79d7f22f2e5f14546f9343e1aeedc0dc1f09e62d10093b289f370d9463a63rootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootrootverilator-3.900-lp152.3.7.src.rpmverilator-examples    rpmlib(CompressedFileNames)rpmlib(FileDigests)rpmlib(PayloadFilesHavePrefix)rpmlib(PayloadIsXz)verilator3.0.4-14.6.0-14.0-15.2-13.9004.14.1X@XS@jengelh@inai.dealoisio@gmx.comdmitry_r@opensuse.org- Rewrite description to give more insight as to what it does.- Update to version 3.900 (see Changes)- Initial package, version 3.862 - Add verilator-rpmlintrc to avoid warnings about source files for SystemCsheep85 1589657823 3.900-lp152.3.7examplestest_cMakefileMakefile_objsim_main.cpptest_scMakefileMakefile_objsc_main.cpptest_vinput.vct.vtop.v/usr/share/doc/packages/verilator//usr/share/doc/packages/verilator/examples//usr/share/doc/packages/verilator/examples/test_c//usr/share/doc/packages/verilator/examples/test_sc//usr/share/doc/packages/verilator/examples/test_v/-fmessage-length=0 -grecord-gcc-switches -O2 -Wall -D_FORTIFY_SOURCE=2 -fstack-protector-strong -funwind-tables -fasynchronous-unwind-tables -fstack-clash-protection -gobs://build.opensuse.org/openSUSE:Leap:15.2/standard/e0aa41255ac1babe1aea524e11a3082b-verilatorcpioxz5x86_64-suse-linuxdirectoryASCII textC source, ASCII textmakefile script, ASCII text]؍<6Obutf-885d380bbae2870fc5254a09698340ed8d554271ed2402392ae8da7fa24c5752c?P7zXZ !t/Aw.] crt:bLL 痏.6 #]XuHIdy5=2"(P "|Rpdi|/'ivub|CÅ#^Vnyeeic|ѾSN{гEx.II*tVv2exɝ@AKL6eu^FE–EZcA(جRLҺBh?{7p|L3U/[q=-?U$=e0t8]MIJ&@5NgCNkV[D'ЂqW B@x=}z,_ov%I` IQGJ35%[ N[}H'Vۊ&{Ϳg%GN|Xf~د.=^Gk[oveYY5؄ST[-%?zF,8SnQoi `J4+hE Rka^hl\RZ Ho0ʰlrclC wЛSϨ=Fy>""P?9+('gEtGgzv5xp,%S@QXX4OxUvv89".k;DizgGlќ +~fH]^H5A`ejv,E rfb,=kڰ0NKN7Hu! B !o7~ Cjd98SJc&|'D*1,(1{;H@p X31ibt~~AS񾝋ބdP+ۄDw\ x-2jA+x0OznǨ1:_E PqЮP_4 ԒL6cͤL%d,KU7pe]BSv^]Bx-5iþ%x̻xYu]`|e~xʾz00#PrEJjX 5)ibR/ה6!o!K?q~m3 ?w0,o1d+˲6rMgmRa:B%{q``0.IVCns(NBe \gFѝqVQKPx)$ѿF,JkE/@TumN]DX⭒B$W$|'R~$ͳZ|'xPIB YMυmҾ`1+$bx(*v]-U;.dʯ:mج Qx _# oaakO;~q`8T*h?rE:n9ŵbnWAzRt=$1Y&䴞tX⤣VP| ehw;K8s\F/46]^YVmBK-#9l2x)x$l~$][$/^@IH̪@wDIAub%`!y&qı;H5p<fe#s6h Έ;A"<%":_{0mקK[4JSmTs@cGHpJ;sNP)[ s)ζsYhݹqѳd_҈洌s$;O;6ShwKIϷ/At0i"s\O4=H]J`0u~dmy2v6e"o<#S1F' i_,Wp <#EPkFƐ0B~ABW}iƪP|b*Av? AQ2kdUo;0,JRT=0Wyv8v+pѴAwn.F#5%k}:9la+ Tg7bʝ9Oki>haeO,L3\nέ ŴHsMhY~%VS ჊6V _wLpO||(%[],f͢(׵|&wdjKֳ=s(~xwCjq!^WL#Q @eQ!xO^1n{`jeuX`>un3vFpG2}}Xě{ =>=U&dER[lkF7l0XbdsmN2i) ?YRK6HcsJ6vAhT)Xva?1QkSEښ_&#%Xp%ᒂ<`~?$g3k˄@l ~L{ǖ-7N2gjWQy UMZ g}i}UjDx`\1R('${=%##U\3 Ƃk`! SL{&#bPy+g )92ᔏ_5G$ SNknefty;vZr,9ku"Xpb@> oݿAo]